首页 公文范文 版图设计毕业论文

版图设计毕业论文

时间:2022-04-26 03:44:43 关键词: 版图设计毕业 设计论文 设计
摘要:集成电路版图设计教学应面向企业,按照企业对设计工程师的要求来安排教学,做到教学与实践的紧密结合。从教学开始就向学生灌输IC行业知识,定位准确,学生明确自己应该掌握哪些相关知识。本文从集成电路数字版图、模拟版图和逆向设计版图这三个方面就如何开展教学可以满足企业对版图工程师的要求展开探讨,安排教学有针对性。在教学方法与内容上做了分析探讨,力求让学生在毕业后可以顺利进入IC行业做出努力。

版图设计毕业论文

版图设计毕业论文:《集成电路版图设计》课程教学改革与探索

【摘要】《集成电路版图设计》课程对微电子专业学生理解电路设计的概念和工艺技术的认识,起到承前启后的作用,对此课程教学方法的研究有着重要的理论和现实意义。

【关键词】集成电路版图;教学方法;改革

集成电路版图设计是集成电路设计的最终结果,版图质量的优劣直接关系到整个芯片的性能和经济性,因此,如何培养学生学好集成电路版图设计技术,具备成为合格的版图设计工程师的基本潜质,是摆在微电子专业老师面前的一个普遍难题。如何破解这个难题,我们做了以下探索。

一、突出实践,理论配合

传统的《集成电路版图设计》课程采取理论教育优先,学生对于版图的基本理论和设计规则非常熟悉,但动手实践能力缺乏培养,往往在学生毕业后进入集成电路设计企业还需二次培训版图设计能力,造成了严重的人力资源浪费。这是由于没有清晰的认识《集成电路版图设计》课程的性质,造成对它的讲授还是采取传统教学方式:老师讲,学生听,偏重理论,缺乏实践,影响到学生在工作中面临实际设计电路能力的发挥。《集成电路版图设计》是一门承接系统、电路、工艺、EDA技术的综合性课程,如果按照传统方式授课,课程的综合性和实践性无法得到体现,违背了课程应有的自身规律,教学效果和实用意义不能满足工业界的要求。我们在重新思考课程的本质特点后,采取了实践先行,理论配合的教学方法,具体如下:集成电路版图是根据逻辑与电路功能和性能要求,以及工艺水平要求来设计光刻用的掩膜图形,实现芯片设计的最终输出。版图是一组相互套合的图形,各层版图相应于不同的工艺步骤,每一层版图使用不同的图案来表示。我们首先讲授版图设计工具EDA软件的使用,让学生掌握EDA软件的每一个主要功能,从图形的选择、材料的配置,让学生从感性角度认识实际的版图设计是如何开展的,每一个步骤是如何使用软件完成的,整体芯片版图设计的流程有哪些规定,学生此时设计的版图可能不是很精确和完美,但学生对于什么是版图和如何设计版图有了初步的感性认识,建立起版图设计的基本概念,对于后续的学习奠定了牢实的实践基础,此时再去讲授版图设计理论知识,学生更能理解深层的工艺知识和半导体理论,真正做到了知行合一,实践先行的教育理念,对学生能力的培养大有裨益。

二、注重细节,加强引导

传统方式讲授《集成电路版图设计》理论占大部分时间,学生知道二极管、晶体管、场效应管、电阻、电容等基本元器件的工作原理和构成要素,但是在版图设计中,这些元器件为什么要这样设计,其实内心中充满着疑惑和不解。针对学生的疑惑,我们从工艺细节入手来解决这个问题。作为集成电路版图设计者,首先要熟悉工艺条件和期间物理,才能确定晶体管的具体尺寸、连线的宽度、间距、各次掩膜套刻精度等。版图设计的规则也是由工艺来确定的,掌握了工艺也就掌握了版图设计的钥匙。我们将通用工艺文件的每一条规则向学生讲解,通用元器件的规则整理出它们的共性,最小宽度、长度、间距的尺寸提醒学生要记忆,不同芯片生产厂的工艺对比学习和研究,学生在这一系列规则的学习过程中,慢慢理解熟悉了工艺规则文件的组织构成及学习要点,能够举一反三的在不同工艺规则下,设计同一种元器件的版图,即使电路元器件的数量巨大,电路拓扑关系复杂,在老师耐心的讲解下,学生也能够依据工艺规则设计出符合要求的版图,这都是在理解了工艺规则细节的基础上完成的。所以,关注细节,加强引导,是提高学生学习效果的一个重要方法。

三、完善考核机制,争取比赛练兵

学生成绩的提高,合理完善的考核机制不可或缺。以往《集成电路版图设计》课程的考核主要是理论知识作业和课程报告,学生的学习效果和实际动手能力没有得到考核,造成不能全面评价学生的学习成绩。我们采取项目形式,全方位考核学生的学习效果。根据知识点,将通用模拟电路分成五大类,每个大类提取出经典的电路10种,使用主流芯片加工厂的生产工艺,由经验丰富的老师把它们的版图全部设计出来,作为库单元放在服务器中供学生参考。在学生充分理解库单元实例的基础上,将以往设计的一些实用电路布置给学生,要求在规定的时间内,设计出合格的版图,以此作为最终的考核结果。学生在学习课程期间,可以接触到不同工艺、不同结构的多种类电路,而且必须在规定的时间内设计出版图,这极大的促进了他们学习的积极性和时间观念。学生在设计版图的过程中,会遇到多种问题,他们会采取问老师答疑,和同学讨论的多种方式解决,不仅能督促他们平时上课认真听讲,而且对遇到的问题也能多角度思考,最重要的是他们亲自动手设计版图,将工艺、电路、器件综合考虑,在约定的时间内能力得到极大提高。老师根据学生上传至服务器中设计的不同项目版图打分,而且将每个项目的得分出具详细的报告,对学生的成绩进行点评。学生通过查阅报告,能够知道课程学习的缺点和得分项,为下一次提高设计成绩是一个很好的参考。除了日常学习设计版图项目,学生可以争取参加微电子专业的一些比赛,通过比赛体会一些具有挑战性的版图设计项目,来提高学生在实际场景下如何发挥设计能力和项目组织能力,为他们未来进入职场从事版图设计工作奠定坚实的专业能力和实际解决问题能力。

四、总结

《集成电路版图设计》课程是一门兼具理论基础和实践锻炼想结合的课程,对它的讲授不仅需要扎实的理论基础,还需合理的实践环节配合,才能取得良好的教学效果。

作者简介:鞠家欣(1972—),黑龙江双城人,硕士,讲师,研究方向:集成电路设计与测试技术。

版图设计毕业论文:微电子专业集成电路版图设计的教学研究

摘要:集成电路版图设计涵盖了微电子学、电路理论、计算机图形学等诸多学科的基础理论,这是微电子学专业的办学重要特色和人才培养重点方向。以CMOS反相器和基本MOS差分放大器为例,介绍了集成电路版图设计的教学内容。学生通过集成电路版图设计的教学环节,巩固了专业课程的理论知识,提高了集成电路设计的实践能力。

关键词:集成电路设计;版图;CMOS

集成电路(Integrated Circuit)产业是典型的知识密集型、技术密集型、资本密集和人才密集型的高科技产业,是关系国民经济和社会发展全局的基础性、先导性和战略性产业,是新一代信息技术产业发展的核心和关键,对其他产业的发展具有巨大的支撑作用。经过30多年的发展,我国集成电路产业已初步形成了设计、芯片制造和封测三业并举的发展格局,产业链基本形成。但与国际先进水平相比,我国集成电路产业还存在发展基础较为薄弱、企业科技创新和自我发展能力不强、应用开发水平急待提高、产业链有待完善等问题。在集成电路产业中,集成电路设计是整个产业的龙头和灵魂。而我国集成电路设计产业的发展远滞后于计算机与通信产业,集成电路设计人才严重匮乏,已成为制约行业发展的瓶颈。因此,培养大量高水平的集成电路设计人才,是当前集成电路产业发展中一个亟待解决的问题,也是高校微电子等相关专业改革和发展的机遇和挑战。[1-4]

一、集成电路版图设计软件平台

为了满足新形势下集成电路人才培养和科学研究的需要,合肥工业大学(以下简称“我校”)从2005年起借助于大学计划,和美国Mentor Graphics公司、Xilinx公司、Altera公司、华大电子等公司合作建立了EDA实验室,配备了ModelSim、IC Station、Calibre、Xilinx ISE、Quartus II、九天Zeni设计系统等EDA软件。我校相继开设了与集成电路设计密切相关的本科课程,如集成电路设计基础、模拟集成电路设计、集成电路版图设计与验证、超大规模集成电路设计、ASIC设计方法、硬件描述语言等。同时对课程体系进行了修订,注意相关课程之间相互衔接,关键内容不遗漏,突出集成电路设计能力的培养,通过对课程内容的精选、重组和充实,结合实验教学环节的开展,构成了系统的集成电路设计教学过程。[5,6]

集成电路设计从实现方法上可以分为三种:全定制(full custom)、半定制(Semi-custom)和基于FPGA/CPLD可编程器件设计。全定制集成电路设计,特别是其后端的版图设计,涵盖了微电子学、电路理论、计算机图形学等诸多学科的基础理论,这是微电子学专业的办学重要特色和人才培养重点方向,目的是给本科专业学生打下坚实的设计理论基础。

在集成电路版图设计的教学中,采用的是中电华大电子设计公司设计开发的九天EDA软件系统(Zeni EDA System),这是中国唯一的具有自主知识产权的EDA工具软件。该软件与国际上流行的EDA系统兼容,支持百万门级的集成电路设计规模,可进行国际通用的标准数据格式转换,它的某些功能如版图编辑、验证等已经与国际产品相当甚至更优,已经在商业化的集成电路设计公司以及东南大学等国内二十多所高校中得到了应用,特别是在模拟和高速集成电路的设计中发挥了强大的功能,并成功开发出了许多实用的集成电路芯片。

九天EDA软件系统包括ZeniDM(Design Management)设计管理器,ZeniSE(Schematic Editor)原理图编辑器,ZeniPDT(physical design tool)版图编辑工具,ZeniVERI(Physical Design Verification Tools)版图验证工具,ZeniHDRC(Hierarchical Design Rules Check)层次版图设计规则检查工具,ZeniPE(Parasitic Parameter Extraction)寄生参数提取工具,ZeniSI(Signal Integrity)信号完整性分析工具等几个主要模块,实现了从集成电路电路原理图到版图的整个设计流程。

二、集成电路版图设计的教学目标

根据培养目标结合九天EDA软件的功能特点,在本科生三年级下半学期开设了为期一周的以九天EDA软件为工具的集成电路版图设计课程。

在集成电路版图设计的教学中,首先对集成电路设计的一些相关知识进行回顾,介绍版图设计的基础知识,如集成电路设计流程,CMOS基本工艺过程,版图的基本概念,版图的相关物理知识及物理结构,版图设计的基本流程,版图的总体设计,布局规划以及标准单元的版图设计等。然后结合上机实验,讲解Unix和Linux操作系统的常用命令,详细阐述基于标准单元库的版图设计流程,指导学生使用ZeniSE绘制电路原理图,使用ZeniPDT进行NMOS/PMOS以及反相器的简单版图设计。在此基础上,让学生自主选择一些较为复杂的单元电路进行设计,如数据选择器、MOS 差分放大器电路、二四译码器、基本RS触发器、六管MOS 静态存储单元等,使学生能深入理解集成电路版图设计的概念原理和设计方法。最后介绍版图验证的基本思想及实现,包括设计规则的检查(DRC),电路参数的检查(ERC),网表一致性检查(LVS),指导学生使用ZeniVERI等工具进行版图验证、查错和修改。

版图设计毕业论文:基于平衡技术的微带低通滤波器版图优化设计

摘要:微带线结构的不连续性,使反射损耗和插入损耗较大,影响滤波器性能。利用平衡法提升滤波器并联分支中较低的特性阻抗,达到降低微带线宽度的目的,从而均衡整个滤波器的宽度,使版图仿真优化。以一个5阶切比雪夫微带低通滤波器设计为例,仿真结果表明,滤波器通带内反射损耗从-9.566 dB降低到-15.837 dB,插入损耗从0.679 dB降低到0.322 dB,与直接采用Richards变换和Kuroda规则设计微带低通滤波器相比,该方法能缩短滤波器设计周期,获得满意的滤波器性能。

关键词:低通滤波器; 微带线; 平衡技术; 版图优化

微带滤波器是无线通信的重要部件。随着无线通信系统的发展,加速了微带滤波器的研究进程,发明许多Q值适中、重量轻、稳定性好的微带滤波器。计算机辅助设计软件的出现,使设计者在设计过程中避免繁杂的计算过程,提高复杂电路设计效率,缩短设计周期。设计者通常运用Richards变换与Kuroda规则设计微带低通滤波器[13]。该方法设计的滤波器在接头处会由于相邻耦合线线宽不同产生不连续性,使插入损耗较大,不满足一些射频通信的要求。为了解决此问题,采用电磁带隙结构与高低阻抗线结合的方法,改善了通带性能,但阻带性能变差,体积变大[4]。运用分形技术设计高低阻抗滤波器取得了一定的效果,但设计方法复杂,对于加工精度要求较高[5]。

本文提出一种采用平衡技术优化微带低通滤波器版图的方法,并以5节切比雪夫微带低通滤波器为例,通过在低特性阻抗并联传输线节点处再并联相同长度的微带线,修改两条微带线特性阻抗为原来的两倍达到优化版图的目的。原理图仿真和版图仿真均验证了该方法的可行性。该方法简单易行,只需使用ADS软件就能方便修改,并且可以用于带阻滤波器等其他微带结构的滤波器,具有良好的应用前景。

1平衡技术设计原理

使用Richards变换和Kuroda规则设计微带滤波器,所得串并联传输线长度理论上是相同的。选取各支节传输线长度l为截止频率下波长的1/8,由终端开路传输线阻抗分布表达式:Zin(l)=-jZ0tan β1(1)式中:传播常数β=2π/λ;Z0为特性阻抗。将l=λ/8带入式(1)可得:Zin(l)=-jZ0(2)若传输线长度l保持不变,使两条特性阻抗Z0相同长度l相等的终端开路传输线并联于同一点,则其输入阻抗会减半为Z0/2;反之,将两段并联终端开路传输线特性阻抗提高1倍并联于同一点且保持传输线长度l不变,则输入阻抗保持不变为Z0。

由以上推导可知,用平衡技术修改滤波器并联终端开路传输线不影响各节的输入阻抗。

2用Richards变换、Kuroda规则设计微带低通滤波器由于当频率较高时电感和电容应选的元件值过小,由于寄生参数的影响,如此小的电感和电容已经不能再使用集中参数元件并且工作波长与滤波器元件的物理尺寸相近,滤波器元件之间的距离不可忽视,需要考虑分布参数效应[67]。基于以上原因,设计者先设计出有电感、电容组成的集中参数滤波器,然后运用Richards变换和Kuroda规则转换为合适的微带滤波器结构。

本文设计的微带低通滤波器指标如下:

截止频率为f0=3 GHz,通带内波纹为0.5 dB,在2倍截止频率处具有不小于40 dB的带外衰减,输入/输出阻抗为50 Ω。基板厚度H=0.762 mm,基板相对介电常数Er=3.66,磁导率μ=1 H/M,金属电导率为5.88 mS/m,封装高度Hu=1.0+33 mm,金属层厚度T=0.035 mm。

通过计算选用5阶切比雪夫微带低通滤波器模型进行设计[8]。电路原理及其仿真结果如图1所示。

图1微带低通滤波器原理电路及仿真结果由图可以看出串联和并联的微带线长度均为λ/8,而宽度与特性阻抗大小相关。

由于原理图仿真是在理想条件下进行的,而实际的电路板需要考虑耦合和干扰等因素的影响。ADS版图仿真是采用矩量法进行电磁仿真,对版图的仿真结果更符合电路实际情况[8]。图1所示的滤波器原理图对应的版图结构及仿真结构如图2所示。

图2微带低通滤波器版图结构及仿真结果3用平衡技术设计微带低通滤波器

由于微带传输线的特性阻抗越高,传输线的宽度就越窄。反之,阻抗越低,宽度就越宽。从第2节中的滤波器原理图可看出,TL3和TL5两段并联的微带线,他们的宽度比较宽即特性阻抗偏大,使用平衡技术,在TL3并联点处再并联一根相同长度的终端开路微带线,将两根线的特性阻抗扩大为原来的2倍,并运用ADS软件中的LineCalc工具推算出线的宽度W。对于TL5用同样的方法设计。电路原理及仿真结果如图3所示。

图3改进后微带低通滤波器原理电路及仿真结果图3所示的滤波器原理图对应的版图结构及仿真结构如图4所示。

图4改进后微带低通滤波器版图结构及仿真结果由图1和图3的原理图仿真结果可以看出,优化前的反射损耗,插入损耗与优化后的数值几乎相同。这与使用平衡技术修改原理图后不改变原有滤波器阻抗的结论相一致。

由图2和图4的版图仿真结果可以看出,通带内反射损耗由-9.566 dB降低到-15.837 dB,插入损耗由0.679 dB降低到0.322 dB。

可以看出,运用平衡技术均衡微带低通滤波器微带线宽度后,使通带内反射损耗明显改善,插入损耗明显降低,达到了性能指标。证明了该方法的有效性。

4结语

本文提出一种用平衡技术优化微带低通滤波器版图的方法,讨论了平衡技术的设计原理,并以一个5阶切比雪夫微带低通滤波器设计为例,仿真结果表明此滤波器版图仿真性能优于传统方法设计的微带滤波器。该方法简单易行,只需使用ADS软件就能方便修改,并且可以用于带阻滤波器等其他微带结构的滤波器,有效地缩短了设计周期,具有良好的应用前景。

版图设计毕业论文:引入CDIO模式的《集成电路版图设计》课程开发实践

摘要:伴随着高等职业院校的教育改革,将CDIO的教育模式引入到《集成电路版图设计》教学开发中。鉴于CDIO教育理念的先进性、教学层面的系统性及其广泛的适应性,对《集成电路版图设计》课程进行了重新设计。本文从引入CDIO教育模式的必要性与必然性的分析到课程评估的结论,阐述了该课程设计开发的整个过程。

关键词:CDIO;高职教育;集成电路版图设计;课程开发

CDIO是基于项目学习的一种模式,是工科教育“做中学”的一种。CDIO代表构思(Conceive)、设计(Design)、实施(Implement)、运作(Operate),它以工程项目生命周期为载体,培养学生的学术知识、职业道德和运用知识解决问题的能力、终生学习能力、团队交流能力和大系统掌控能力。此教育模式是由麻省理工学院(MIT)和瑞典皇家工学院等发起,现已运用到几十个大学的众多专业,并获得产业界认可。

高职教育改革及引入CDIO教育模式的必要性与必然性

高等教育是在完成中等教育的基础上进行的专业教育,是培养高级专门人才的社会活动。职业教育是对受教育者施以从事某种职业所必需的知识与技能的训练,因此,职业教育亦称职业技术教育或实业教育。“高等职业教育”是“高等”与“职业教育”两个概念的复合。

我国高等职业教育的人才培养目标从开始提出的“技术型人才”、“应用型人才”,到后来的“实用型人才”,再到现在提出的“高技能人才”,多年来一直处于变化不定之中。高职培养的人才类型是实用型、应用型,与普通高等教育培养的人才是有差异的。所谓高技能人才,工作内涵是将成熟的技术和管理规范转变为现实的生产和服务,工作场合和岗位是基层第一线。

苏州工业园区职业技术学院的办学理念为“企业的需要,我们的目标;学生的需求,我们的追求”。近些年,我们在专业建设和课程教学改革方面做了许多探索,协助毕业生提高就业信心,实现优质就业,传统教育下的毕业生上岗适应慢,沟通能力差,动手能力弱,缺乏团队合作经验,缺少创新精神和创新能力、职业道德、敬业精神等人文素质薄弱,频繁“跳槽”等,而这些都难以符合现代企业的需要。教育模式的改革势在必行,而CDIO教育模式恰恰有针对性地对传统教学中存在的诸多弊端做出了全面、系统的指导,CDIO教育模式引领了高等职业教育改革的必然性。

应用CDIO模式开发《集成电路版图设计》课程

(一)《集成电路版图设计》的课程理念与思路

《集成电路版图设计》课程是一门实践性很强的课程,针对课程特点,课程设计的基本思路是从岗位实际需求出发,从培养学生学习兴趣入手,在传授知识过程中重视能力培养,强化学生的自学能力和分析、解决问题的能力。具体做法是:

全程实施项目教学 本课程围绕多个相对独立的案例展开。案例的选择强调源于工程实际,注重内容的代表性、针对性、实用性以及先进性,理论知识的选择以“必需,够用”为原则。教学实践表明,案例教学使教学内容直观、具体、形象,易于接受,也使教学内容与工程实际及职业岗位紧密联系,有利于课内知识向工程实际拓宽、技术知识向工程实践迁移,从而有力促进了学生职业能力的发展。

全程实施情境式教学 本课程改变了将理论与实训分开的传统模式,在教学中设计了8个学习情境,每个情境都以相应的工业案例为主线,按照“资讯决策计划实施检查评价”的流程授课,使学员在学中做、做中学,以提高IC版图设计技能训练的水平。同时,通过情境式教学,学生的学习兴趣和参与度大大提高,不仅培养了团队合作精神和沟通能力,还培养了学生的组织协调能力。

小班互动式教学 为达到更好的教学效果,本课程采用24人小班制教学,全程在实训室教学。根据教学内容采取“先讲,后练”、“边讲,边练”、“先练,后讲”等方法,从第一次课开始就设置实训操作内容,调动学生的主观能动性,增加师生互动性。

企业实训 通过校企合作建设校外实训基地的方式,安排学生到专业设计公司进行实训,扩展学生的知识面和工程认识,同时,提高学生对项目整个流程的认知,提高学生的抗压力,对职业道德和敬业精神的培养具有实践意义。

(二)《集成电路版图设计》之课程标准

《集成电路版图设计》课程标准涉及四个方面内容。

专业知识设计 专业知识方面围绕IC版图设计职业标准所要求的必要的基础知识和基本技能把本课程的内容分成多个模块:基础模块(系统操作部分)、应用模块(软件使用部分)、 基本技能训练模块(基本单元的版图设计)、技能提升模块(整体布局与项目掌控)等4个模块包含了8个情境。具体内容包括:Linux基础、版图识读与电路提取、design rule简表整理、绘制符合design rule的NAD2/NOR2版图、DRC及LVS验证及冲突识别和修改、standard cell的框架结构制定、standard cell版图设计、芯片CD4013的版图设计等,每一个情境都对应了某个特定岗位的部分工作内容。这些情境不仅可提供学生对岗位的认知机会,同时,也可激发自主学习的能动性和积极性。

个人职业技能和职业道德培养 在教学中注重对学生职业技能培养的同时也加强对学生职业道德的养成,用企业对员工的标准来要求学生进行实训,采用定期上交项目总结报告和分组进行项目实施进度报告等方式,为学生今后在企业的发展奠定基础。

团队意识的培养 能够快速地融入一个集体当中是从事设计与研发人员必备的能力,而常常被教育者和学生所忽视,因为传统的教育方式更多地关注对单独个体培养的成效,而实际工作中“独自作战”的时代过去了,取而代之的是团队合作,绝大多数的设计工作需要一个团队协作完成,所以这时人际交往就凸显其重要性。在教学中,我们让学生意识到团队协作的重要性和必要性,并在实训过程中从点滴做起,让学生具有团队合作的意识,如文件夹命名方式、文件管理等采取利于团队完成项目的方式进行设置。

《集成电路版图设计》课程的CDIO系统的搭建 《集成电路版图设计》课程的CDIO系统按照下面的框架完成了搭建任务,如表1所示。

(三)《集成电路版图设计》课程CDIO系统的具体实施

我们在CDIO教学大纲的指导下,对《集成电路版图设计》课程进行设计如下:本课程以源于企业真实的项目(如design rule简表整理,见表2)为载体,将所有需要学习和掌握的内容都围绕该项目设计,形成一个整体。紧紧围绕知识体系教学专业技能提升职业道德养成主线组织教学,快乐教学、快乐学习,引导学生主动学、能做事、会做人。

本课程特色 (1)双语教学,强化应用,适应外企工作。 教师采用双语教学课件与讲义,用两种语言进行教学,学生采用两种语言进行作业,从而强化专业词汇的学习,为适应全英文的工作环境打下了良好的基础。(2)按企业标准建设,内容先进、实用。采用了与众多研发设计公司一致的SUN工作站,建立以UNIX/LINUX系统为基础的网络,安装版图设计普遍使用的Cadence工具软件。(3)企业项目实训。以企业的研发项目(Reverse、design rule简表整理;DRC及LVS验证及冲突识别和修改、standard cell库的建立、芯片CD4013设计等)为载体,在真实的环境(按照企业标准进行实训室配置)下,完成生产性实训任务,完成课程开发教学任务的教师具有多年在外企研发设计的工程背景,学生完成工学结合的作品符合企业设计需要。(4)综合全面的评价体系。理论与实践评价结合,技能训练与表达训练结合,校内教师评价与企业评价结合,学生的自评与互评结合。评价方面包括学习态度、5S素养、项目报告的书写、项目汇报、实践考核和理论考试(按版图设计职业标准四级难度要求随机从题库抽取试题)。(5)增强教师的教学技能。中青年教师、工程师都有学院内部培训课程和企业项目参与的经验,并且要求每年都要开新课和实验、训练项目。每个月都有业务和管理能力评价和学期段考核。参加过的培训都要有项目报告和培训体验交流。在实行课程轮换的同时,实行岗位轮换,使教师熟悉专业核心技术并有扎实的基本功。专业教师通过深入企业和承当企业培训等途径,促使教学与生产实际结合。倡导教师既是讲师、又是实训指导教师,还是培训师和项目工程师,促进“双师型”教师培养。组织教师参加行业比赛,掌握行业的发展动向和需求,客观评价教师的技能水平。

课程质量监控体系的建立 教学质量的好与坏,不单是从学生的考核成绩上来评价,还在学院内设立了专门的教学监管体系,督导组会不定期地进行课堂教学的抽查与监管。更重要的是毕业的学生受到企业的好评,这是对我们课程质量最客观的评价。

课程评估

(一)基本达到预期目的

经过多年的教学实践,CDIO教学模式在《集成电路版图设计》课程中已初见成效,不仅学生在学习过程中表现出很高的积极性,参加职业资格认证测试的微电子专业的学生全部取得了集成电路版图设计师四级职业证书,通过对2008届、2009界毕业生就业调查,我院微电子类专业的毕业生,被苏州工业园区、苏州新区多家知名外企争相录用,就业率达100%。这些企业普遍认为我院的学生工作上手快,适应能力强,担任技术员及助理工程师以上岗位的占75%左右,不少学生已升任工程师助理及工程师。

(二)改进方向和途径

配合微电子专业建设,进行课程教学改革,使我们培养出来的学生全方位发展,符合企业的需求,不是单搞课程的开发,而是要建立起贯通的课程体系,从研发到制造,把先进的CDIO教学模式合理运用到自己的教学实践中去,不能机械地照搬照抄;要针对学生的实际水平和教学内容,提供知识供学生学习。要加强与企业的合作,紧随行业技术的更新步伐,及时更新案例项目。

CDIO教育模式的先进性、普遍实用性是毋庸置疑的,许多学院结合本校和行业特点都探索出新的模式。我们借鉴新加坡南洋理工学院教学模块化的成功案例,利用CDIO教育模式对《集成电路版图设计》课程进行开发,对高等职业教育的课程改革有一定的指导和借鉴作用。

版图设计毕业论文:基于九天EDA系统的全定制版图设计

摘要:以反相器等基本单元版图设计为基础,利用华大电子推广的九天EDA系统软件,采用0.6um硅栅CMOS工艺,按照全定制集成电路的后端设计流程,即基本单元建立、版图布局布线以及版图验证对用于数据采集的D触发器进行版图设计。其中着重对数字电路基本逻辑门版图设计技术进行了探讨。此版图已用于相关芯片的设计中,结果表明通过该软件系统设计的D触发器完全符合设计要求。

关键词:版图设计;九天EDA系统;D触发器

1引言

集成电路(Integrated Circuit,IC)把成千上万的电子元件包括晶体管、电阻、电容甚至电感集成在一个微小的芯片上。集成电路版图设计的合理与否、正确与否直接影响到集成电路产品的最终性能[1]。目前,集成电路版图设计的EDA ( Electronic Design Automation)工具较多,但主流的集成电路版图设计的EDA工具价格昂贵,而我国自主开发的九天EDA系统,具有很高的性价比,为我们提供了理想的集成电路设计工具。

2基本概念

2.1 版图

版图是将三维的立体结构转换为二维平面上的几何图形的设计过程,是一组相互套合的图形,各层版图相应于不同的工艺步骤,每一层版图用不同的图案来表示。它包括了电路尺寸、各层拓扑定义等器件的相关物理信息,是设计者交付给代工厂的最终输出。

2.2 版图设计

它将电路设计中的每一个元器件包括晶体管、电阻、电容等以及它们之间的连线转换成集成电路制造所需要的版图信息。主要包括图形划分、版图规划、布局布线及压缩等步骤[2]。版图设计是实现集成电路制造的必不可少的环节,它不仅关系到集成电路的功能是否正确,而且会在一定程度上影响集成电路的性能、面积、成本与功耗及可靠性等[3]。版图设计是集成电路从设计走向制造的桥梁。

2.3 集成电路版图实现方法

集成电路版图实现方法可以分为全定制(Full-Custom)设计和半定制(Semi-Custom)设计[4]。半定制设计方法包括门阵列设计方法、门海设计方法、标准单元设计方法、积木块设计方法及可编程逻辑器件设计方法等。全定制设计方法是利用人机交互图形系统,由版图设计人员从每一个半导体器件的图形、尺寸开始设计,直至整个版图的布局和布线。全定制设计的特点是针对每一个元件进行电路参数和版图参数的优化,可以得到最佳的性能以及最小的芯片尺寸,有利于提高集成度和降低生产成本。随着设计自动化的不断进步,全定制设计所占比例逐年下降[5]。

3九天EDA系统简介

华大电子推广的应用的九天EDA系统是我国自主研发的大规模集成电路设计EDA工具,与国际上主流EDA系统兼容,支持百万门级的集成电路设计规模,可进行国际通用的标准数据格式转换,它已经在商业化的集成电路设计公司以及东南大学等国内二十多所高校中得到了应用,特别是在模拟和高速集成电路的设计中发挥了作用,成功开发出了许多实用的集成电路芯片[6]。其主要包括下面几个部分[7]:ZeniSE( Schematic Editor)原理图编辑工具,它可以进行EDIF格式转换,支持第三方的Spice仿真嵌入; ) ZeniPDT ( Physical Design Tool)版图编辑工具;它能提供多层次、多视窗、多单元的版图编辑功能,同时能够支持百万门规模的版图编辑操作;ZeniVERI ( Physical Design Verification Tools)版图验证工具它可以进行几何设计规则检查(DRC) 、电学规则检查( ERC) 及逻辑图网表和版图网表比较(LVS)等。

版图设计用到的工具模块是ZeniPDT,它具备层次化编辑和在线设计规则检查能力,并提供标准数据写出接口。其设计流程如图1所示[8],

4设计实例

任何一个CMOS数字电路系统都是由一些基本的逻辑单元(非门、与非门、或非门等)组成,而基本单元版图的设计是基于晶体管级的电路图设计的。因而在版图设计中,主要涉及到如何设计掩膜版的形状、如何排列晶体管、接触孔的位置的安排以及信号引线的位置安排等。以下以一个用于数据采集的D触发器为例进行设计。

4.1 D触发器电路图及工作原理

D触发器电路图,如图2所示,此电路图是通过九天EDA系统工具的ZSE模块构建的,其基本工作原理是:首先设置CLB=1。当时钟信号CLK=0时,DATA信号通过导通的TG1进入主寄存器单元,从寄存器由于TG4的导通而形成闭合环路,锁存原来的信号,维持输出信号不变。当CLK从0跳变到1时,主寄存器单元由于TG2的导通而形成闭合回路,锁存住上半拍输入的DATA信号,这个信号同时又通过TG3经一个与非门和一个反相器到达Q端输出。当CLK再从1跳变到0时,D触发器又进入输入信号并锁存原来的输出状态。对于记忆单元有时必须进行设置,电路中的CLB信号就担当了触发器置0 的任务。当CLB=0时,两个与非门的输出被强制置到1,不论时钟处于0还是1,输出端Q均被置为0。

4.2 D触发器子单元版图设计

图2所示的D触发器由五个反相器、两个与非门、两个传输门和两个钟控反相器组成。选择适当的逻辑门单元版图,用这些单元模块构成D触发器。

对于全定制的集成电路版图设计,需要工作平台,包括设计硬件、设计使用的EDA软件以及版图设计的工艺文件和规则文件。此D触发器的设计硬件是一台SUN Ultra10工作站,设计软件是九天EDA系统,采用0.6um硅栅CMOS工艺。

CMOS反相器是数字电路中最基本单元,由一对互补的MOS管组成。上面为PMOS管(负载管),下面为NMOS管(驱动管)。由反相器电路的逻辑“非”功能可以扩展出“与非”、“或非”等基本逻辑电路,进而得到各种组合逻辑电路和时序逻辑电路。

在电路图中,各器件端点之间所画的线表示连线,可以用两条线的简单交叉来表示。但对于具体的物理版图设计,必须关心不同连线层之间物理上的相互关系。在硅CMOS工艺中,不能把N型和 P型扩散区直接连接。因此,在物理结构上必须有一种实现简单的漏极之间的连接方法。例如,在物理版图中至少需要一条连线和两个接触孔。这条连线通常采用金属线。可得如图3(a)所示的反相器的局部的符号电路版图。同理,可以通过金属线和接触孔制作MOS管源端连接到电源VDD和地VSS的简单连线,如图3(b)所示。电源线和地线通常采用金属线,栅极连接可以用简单的多晶硅条制作。图3(c)给出了最后的符号电路版图。

通过九天版图设计工具绘制的反相器版图如图4所示。其他基本单元的版图可依此建立。

4.3 D触发器版图设计

先建立一个名为DFF的库,然后把建立的各个单元版图保存在DFF库中,同时在库中建立名为dff的新单元。调用各子单元,并进行相应D触发器的版图布局,接着就是单元间的连线。主要用到的层是金属1、金属2和多晶硅进行连接布线。接触孔是用来连接有源区和金属1,通孔用来连接金属1和金属2,多晶硅和多晶硅以及相同层金属之间可以直接连接。版图设计完成后,再利用版图验证工具ZeniVERI对该版图进行了版图验证。最后,经过验证后D触发器的版图如图5所示。

5结语

在分析CMOS 0.6um设计规则和工艺文件后,采用九天EDA系统,以D触发器为例进行了版图设计。实践表明,九天EDA系统工具具有很好的界面和处理能力。该版图已用于相关芯片的设计中,设计的D触发器完全符合设计要求。

作者简介

杨依忠,讲师,主要研究方向是混合集成电路设计。

版图设计毕业论文:企业版图设计教学与实践

一、企业对IC版图设计的要求分析

集成电路设计公司在招聘版图设计员工时,除了对员工的个人素质和英语的应用能力等要求之外,大部分是考查专业应用的能力。一般都会对新员工做以下要求:熟悉半导体器件物理、CMOS或BiCMOS、BCD集成电路制造工艺;熟悉集成电路(数字、模拟)设计,了解电路原理,设计关键点;熟悉Foundry厂提供的工艺参数、设计规则;掌握主流版图设计和版图验证相关EDA工具;完成手工版图设计和工艺验证[1,2]。另外,公司希望合格的版图设计人员除了懂得IC设计、版图设计方面的专业知识,还要熟悉Foundry厂的工作流程、制程原理等相关知识[3]。正因为其需要掌握的知识面广,而国内学校开设这方面专业比较晚,IC版图设计工程师的人才缺口更为巨大,所以拥有一定工作经验的设计工程师,就成为各设计公司和猎头公司争相角逐的人才[4,5]。

二、针对企业要求的版图设计教学规划

1.数字版图设计。数字集成电路版图设计是由自动布局布线工具结合版图验证工具实现的。自动布局布线工具加载准备好的由verilog程序经过DC综合后的网表文件与Foundry提供的数字逻辑标准单元版图库文件和I/O的库文件,它包括物理库、时序库、时序约束文件。在数字版图设计时,一是熟练使用自动布局布线工具如Encounter、Astro等,鉴于很少有学校开设这门课程,可以推荐学生自学或是参加专业培训。二是数字逻辑标准单元版图库的设计,可以由Foundry厂提供,也可由公司自定制标准单元版图库,因此对于初学者而言设计好标准单元版图使其符合行业规范至关重要。2.模拟版图设计。在模拟集成电路设计中,无论是CMOS还是双极型电路,主要目标并不是芯片的尺寸,而是优化电路的性能,匹配精度、速度和各种功能方面的问题。作为版图设计者,更关心的是电路的性能,了解电压和电流以及它们之间的相互关系,应当知道为什么差分对需要匹配,应当知道有关信号流、降低寄生参数、电流密度、器件方位、布线等需要考虑的问题。模拟版图是在注重电路性能的基础上去优化尺寸的,面积在某种程度上说仍然是一个问题,但不再是压倒一切的问题。在模拟电路版图设计中,性能比尺寸更重要。另外,模拟集成电路版图设计师作为前端电路设计师的助手,经常需要与前端工程师交流,看是否需要版图匹配、布线是否合理、导线是否有大电流流过等,这就要求版图设计师不仅懂工艺而且能看懂模拟电路。3.逆向版图设计。集成电路逆向设计其实就是芯片反向设计。它是通过对芯片内部电路的提取与分析、整理,实现对芯片技术原理、设计思路、工艺制造、结构机制等方面的深入洞悉。因此,对工艺了解的要求更高。反向设计流程包括电路提取、电路整理、分析仿真验证、电路调整、版图提取整理、版图绘制验证及后仿真等。设计公司对反向版图设计的要求较高,版图设计工作还涵盖了电路提取与整理,这就要求版图设计师不仅要深入了解工艺流程;而且还要熟悉模拟电路和数字标准单元电路工作原理。

三、教学实现

1.数字版图。数字集成电路版图在教学时,一是掌握自动布局布线工具的使用,还需要对UNIX或LINUX系统熟悉,尤其是一些常用的基本指令;二是数字逻辑单元版图的设计,目前数字集成电路设计大都采用CMOS工艺,因此,必须深入学习CMOS工艺流程。在教学时,可以做个形象的PPT,空间立体感要强,使学生更容易理解CMOS工艺的层次、空间感。逻辑单元版图具体教学方法应当采用上机操作并配备投影仪,教师一边讲解电路和绘制版图,一边讲解软件的操作、设计规则、画版图步骤、注意事项,学生跟着一步一步紧随教师演示学习如何画版图,同时教师可适当调整教学速度,适时停下来检查学生的学习情况,若有错加以纠正。这样,教师一个单元版图讲解完毕,学生亦完成一个单元版图。亦步亦趋、步步跟随,学生的注意力更容易集中,掌握速度更快。课堂讲解完成后,安排学生实验以巩固所学。逻辑单元版图教学内容安排应当采用目前常用的单元,并具有代表性、扩展性,使学生可以举一反三,扩展到整个单元库。具体单元内容安排如反相器、与非门/或非门、选择器、异或门/同或门、D触发器与SRAM等。在教授时一定要注意符合行业规范,比如单元的高度、宽度的确定要符合自动布局布线的要求;单元版图一定要最小化,如异或门与触发器等常使用传输门实现,绘制版图时注意晶体管源漏区的合并;大尺寸晶体管的串并联安排合理等。2.模拟版图。模拟集成电路版图设计更注重电路的性能实现,经常需要与前端电路设计工程师交流。因此,版图教学时教师须要求学生掌握模拟集成电路的基本原理,学生能识CMOS模拟电路,与前端电路工程师交流无障碍。同时也要求学生掌握工艺对模拟版图的影响,熟练运用模拟版图的晶体管匹配、保护环、Dummy晶体管等关键技术。在教学方法上,依然采用数字集成电路版图的教学过程,实现教与学的同步。在内容安排上,一是以运算放大器为例,深入讲解差分对管、电流镜、电容的匹配机理,版图匹配时结构采用一维还是二维,具体是如何布局的,以及保护环与dummy管版图绘制技术。二是以带隙基准电压源为例,深入讲解N阱CMOS工艺下双极晶体管PNP与电阻匹配的版图绘制技术。在教学时需注意晶体管与电阻并联拆分的合理性、电阻与电容的类型与计算方法以及布线的规范性。3.逆向版图设计。逆向集成电路版图设计需要学生掌握数字标准单元的命名规范、所有标准单元电路结构、常用模拟电路的结构以及芯片的工艺,要求学生熟悉模拟和数字集成单元电路。这样才可以在逆向提取电路与版图时,做到准确无误。教学方法同样还是采用数字集成电路版图教学流程,达到学以致用。教学内容当以一个既含数字电路又含模拟电路的芯片为例。为了提取数字单元电路,需讲解foundry提供的标准单元库里的单元电路与命名规范。在提取单元电路教学时,说明数字电路需要归并同类图形,例如与非门、或非门、触发器等,同样的图形不要分析多次。强调学生注意电路的共性、版图布局与布线的规律性,做到熟能生巧。模拟电路的提取与版图绘制教学要求学生掌握模拟集成电路常用电路结构与工作原理,因为逆向设计软件提出的元器件符号应该按照易于理解的电路整理,使其他人员也能看出你提取电路的功能,做到准确通用规范性。集成电路版图设计教学应面向企业,按照企业对设计工程师的要求来安排教学,做到教学与实践的紧密结合。从教学开始就向学生灌输IC行业知识,定位准确,学生明确自己应该掌握哪些相关知识。本文从集成电路数字版图、模拟版图和逆向设计版图这三个方面就如何开展教学可以满足企业对版图工程师的要求展开探讨,安排教学有针对性。在教学方法与内容上做了分析探讨,力求让学生在毕业后可以顺利进入IC行业做出努力。

作者:李亮工作单位:苏州市职业大学电子信息工程学院

版图设计毕业论文:基于Virtuoso平台的单片射频收发系统电路仿真与版图设计

引言

在当前通信市场的带动下,通信技术飞速向前发展,手持无线通信终端成为其中的热门应用之一。因此,单片集成的射频收发系统正受到越来越广泛的关注。典型的射频收发系统包括低噪声放大器(LNA)、混频器(Mixer)、滤波器、可变增益放大器,以及提供本振所需的频率综合器等单元模块,对于工作在射频环境的电路系统,如2.4G或5G的WLAN应用,系统中要包含射频前端的小信号噪声敏感电路、对基带低频大信号有高线性度要求的模块、发射端大电流的PA模块、锁相环频率综合器中的数字块,以及非线性特性的VCO等各具特点的电路。众多的电路单元及其丰富的特点必然要求在这种系统的设计过程中有一个功能丰富且强大的设计平台。在综合比较后,本文选定了cadenceVirtuoso全定制IC设计工具。

Virtuoso是Cadence公司推出的用于模拟,数字混合电路仿真和射频电路仿真的专业软件。基于此平台,Cadence公司还开发了面向射频设计的新技术,包括射频提取技术、针对无线芯片设计的两个新设计流程。不仅如此,目前的Virtuoso已经整合了来自合作伙伴安捷伦、Coware、Helic和Mathworks等公司的技术,射频设计能力大为增强。使用该项新技术,可以减少设计反复,并缩短产品上市时间。其AMS工具可以实现自顶向下、数/模混合的电路设计;Composer工具可以方便地进行电路设计的输入和管理;spectre/SpectreRF仿真器精度高,适合不同特点的电路设计;Layout工具包含了布局、交叉参考、布线、版图验证、参数提取等功能;此外,Virtuoso能进行可靠的后仿真和成品率控制。

基于Virtuoso的行为仿真和系统规划

射频收发系统的设计最终能否成功,以及模块指标分配是否合理可行,都有赖于具体电路设计之前对系统的行为建模和计算,即所谓的行为仿真。这也是自顶向下设计模式的关键一步。Cadence内置的Verilog-A和VHDL仿真器,以及混合输入模式的仿真方法提供了这种可能性。而且,Cadence软件免费提供了大量的行为模型供选择使用,对于射频系统设计,所要做的就是调用并设定各个模块预期的指标要求,通过仿真很快就能得到系统的行为特征。根据要求可以方便地修改各个模块的指标重新仿真,直到系统的行为满足要求为止。以接收机为例,接收系统。每个模块的指标设定非常具体,如输入输出阻抗、增益、隔离度、噪声系数NF、线性度IP3、直流偏移IP2等。仿真完成后,每个模块的指标分配任务也同时完成。

每个模块用具体电路实现后可以逐一取代相应的设计模块,进行系统仿真,可以看出每个模块是否满足系统的需要,进而评估每个实际模块对系统性能的影响。

基于Virtuoso Spectre/SpectreRF的电路模块仿真设计

基于上述的行为仿真结果和指标分配结果,可以划分系统模块设计任务,对每个单元块分别进行设计仿真。

LNA

LNA是射频接收机最前端的一个有源部件,它决定了系统的噪声性能。对它的要求主要是具有尽量低的NF和足够的功率增益、好的输入匹配,其次是高线性度和隔离度。其电路如图3所示。利用Spectre的SP分析或SpectreRF的PSS+Pnoise分析都可以进行NF分析。还可以利用NFmin的结果来挑选晶体管的尺寸,以使最优源阻抗满足最小的噪声要求。

Mixer

混频器是收发机的核心,由于完成的是变频工作,其主要仿真方法需采用SpectreRF仿真器。混频器的益、NF等与输入输出有关,但输入和输出工作在不同的频段上,往往要在PSS分析的基础上进行其它分析才能得到正确结果,如PSP、Pnoise、PAC等。混频器的结构是典型双平衡吉尔伯特。

VGA

基带VGA由于频率低、增益大,因此对噪声要求不高,主要是对线性度、增益等指标有较高的要求,SpectreRF的PSS扫描可以方便地对模块的输入进行扫描并自动对扫描曲线作延长,直接标示出线性度PldB和IIP3的交点位置及数值大小,非常方便直观。这种方法与传统的two tone测试相比更加灵活高效。VGA在不同增益状态下的IIP3指标的仿真只需把控制写成变量,在ADE环境中进行扫描变量的值即可完成。所得的结果可以方便地进行比较分析。通过调整可以获得理想的VGA电路。甚至可以把ADE下的各种设置保存成ocean的脚本文件,利用脚本的自动运行,只要事先安排好各种仿真任务,Cadence就能自动完成各项仿真并保存数据结果。对数据进行比较分析后能获悉电路的性能,以此为指导逐步改进,便可获得一个满足系统需要的电路模块。

PLL模块

PLL各模块的仿真是一个比较有挑战性的任务,PLL本身是一个数字/模拟混合的模块,但是一般都用模拟的方式设计各个模块。PLL的仿真包含了上百项指标的测试工作,这些仿真要用到几乎所有Spectre和SpectreRF的仿真工具。以其中VCO和CP的仿真为例,VCO非线性的工作特点决定了它的噪声计算不能以小信号的方式进行,采用PSS+Pnoise的方式则可以准确地仿真VCO的相位噪声性能。通过扫描可以得到VCO的频率调谐增益Kvcvcd。

电荷泵输出电流特性是衡量CP性能的常用曲线,CP决定了PLL环路的增益和带内噪声性能。通过扫描也可以容易地得到CP在不同状态下电流源的恒流和匹配特性。

以上所述是射频接收机几个典型单元模块的电路设计仿真过程。系统各个单元块的仿真是可以同时展开的,完成的模块可以随时代人行为系统来验证设计结果。经过若干次反复修改与验证,最终可以得到符合要求的接收系统。

温度分析

要保证最终系统设计的可靠性和成品率,很关键的一步是在各个单元块的设计中进行温度、极端情况等分析。这些功能可以在CadenceVirtuoso中通过设置不同的仿真温度、通过仿真模型的Corner设置,以及直接使用其提供的MonteCarlo仿真工具来进行。

射频收发系统的整体电路仿真

各个模块电路分别设计验证完成以后,就可以把所有模块连成系统,并加上PAD、ESD等构成一个完整的芯片系统,对这个系统加上激励进行仿真测试,可以对整个系统电路进行仿真。如果仿真计算所用的硬件资 源足够大,可以直接对系统进行tran、SP、PSS,以及PSP、Pnoise、PAC等分析,获得整个芯片的性能。如果资源不足,则可以考虑对系统按功能进行分组、分块仿真。由于分出的块之间相对独立,因此整体系统的特性与分块仿真差别不大。

版图设计与后仿真

在各模块的设计指标满足自身及系统要求的基础上可以开始各个模块的版图设计,首先利用Layout-XL的元件调入功能可以直接由原理图调入版图元件,进行各个模块的粗略布局,主要是安排与其它模块的连接端口以及一些重要元件的预布局。然后从系统上将所有模块的预布局调入进行整体布局考虑。利用Virtuoso Layout工具所具有的层次化管理和操作的特性,可以对每个模块的安放及其与其它模块的衔接进行系统考虑。

系统布局以后,将边界条件分配给每个模块。在模块单独的布局过程中要遵守其边界约定。版图进行到一定阶段后,即可以调入到系统版图中来检查,随时作必要的调整以满足每个模块的具体情况。

具体版图绘制过程中可以充分利用Virtuoso版图工具的强大功能,比如充分发挥快捷键功能可以使版图设计流畅高效;利用Layout-XL的交叉参考可以随时发现错误的连线或因疏忽造成的短路;利用DRD的实时规则检查可以避免绝大多数违反设计规则的布图。

版图的规则检查可以采用Virtuoso的Diva工具,DRC、LVS、Exlract等工作都可以在其友好的界面下完成。对于射频电路版图元件数规模不大的特点,利用Diva完成绝大部分工作是很合适的。如果想进一步提高版图提取和后仿真的精确度,可以考虑采用Assure工具来进行。

结语

本文详细讨论了基于CadenceVirtuoso设计平台的单片射频收发集成电路的设计过程。讨论了利用Virtuoso工具完成的自顶向下、从系统到模块、从前端都后端的整个设计步骤,直到实现一个完整的射频芯片。可以看出,Virtuoso平台工具在IC设计的各个阶段所发挥的重要作用。

文中所述的单片射频芯片设计中所采用的Virtuoso工具只是Virtuoso家族中最常用的几个工具,依靠他们的强大功能足以完成复杂的射频系统设计,是性价比较高的一种解决方案。如果再结合Virtuoso的AMs、UltraSim、VoltageStorm、ElectronStorm等工具,将会使设计效率更高,设计更精确。

版图设计毕业论文:数模混合集成电路版图设计的涨缩技术

摘 要:文章介绍了在数模混合版图设计中,如何把版图不同模块的涨缩需求,用一种完善的自动化程序技术方案来实现,并且可以批处理所有需要涨缩的版图数据。

关键词:数字;模拟;集成电路;版图设计;人工处理;程序化处理

随着集成电路技术的进步,晶体管尺寸越来越小。对于很多经过晶圆片验证的产品,需要通过版图等比例缩小,直接用于更小的工艺平台,不用重新设计版图,就可以流片,从而获得高集成度的效果,极大地提高了效率,节省了成本。而一个数模混合产品中包含数字部分和模拟部分,对于数字 IP,尤其是标准单元, 用于更小工艺平台的时候,可以直接采用版图等比例缩小的方式;而对于一些模拟IP来说,应用于更小工艺平台的时候,为了保持性能的最优化,需要保持原验证的同等条件;而对于工艺的临界尺寸(Critical Dimension, CD)来说,希望整个产品的接触孔的宽度是一致的。对于这样一个产品多种涨缩,部分还需要层次之间布尔操作的需求,本文提供一种完善的自动化流程方案来解决这种版图特殊涨缩的方法,可以程序化地批处理所有需要涨缩的版图数据。

1 客户项目涨缩需求概述

华润上华0.18 μm工艺线有3个差异不大的平台―0.18 μm, 0.162 μm ,0.153 μm。客户的产品很多已经在0.18 μm工艺平台验证过,为了增加单片晶圆片上的管芯的数量,提高利润空间,客户会直接把0.18 μm工艺平台验证过的产品等比例缩小到0.162 μm或者0.153 μm的两个工艺平台进行重新流片。而数字IP可以直接等比例缩小,但是模拟IP希望能直接用0.18 μm工艺平台设计方案,这两种IP类型共存于一个数模混合产品中,需要分别对这两种IP进行不同的操作,而且由于工艺要求需要,某些版图层次需要进行其他特殊的处理。

图1是数模混合的简化示意图,包含了数字IP和模拟IP。客户需求有两个要求:(1)模拟IP尺寸保持不变,数字IP尺寸缩小到原始的0.9倍;(2)整个产品的接触孔的宽度保持原始的0.22 μm。

2 人工涨缩技术操作方式

传统的操作技术中,大部分需要靠人工干涉和人工画图来实现,效率很低,下面简述一下传统人工操作技术方案:

(1)在图1的版图EDA工具窗口菜单中,调用图2版图属性对话框,通过修改其参数选项Magnification等于0.9,把数字IP缩小到原始的0.9倍。

(2)这种修改的方式会导致版图层次之间出现0.001 μm的gap(空隙),如图3所示,金属层出现的gap图形;这种0.001 μm的gap会出现在很多不同分层结构的连接层次之间。

(3)人工修补版图,首先要把所有出现gap的图形一一填充好,然后把模拟IP和数字IP之间的连接金属线的位置分别调整好。

(4)因为原始接触孔宽度等于0.22 μm,如图4所示,而缩小到0.9倍以后数字 IP部分的接触孔宽度等于0.198 μm;为了保持全芯片的接触孔宽度一致,必须人工的把数字 IP内部的接触孔宽度修改为0.22 μm。

每一个数模产品都是非常巨大的,包含的contact的数量是数以万计的,模拟IP和数字IP连线也都是非常复杂的,而且要从底层单元开始修改,单靠这种传统的人工修改,工作量是超负荷的,从而使客户产品直接shrink的效率就大大降低,影响到客户产品的上市时间。

3 程序化处理涨缩技术

3.1 程序化涨缩技术原理

针对传统方案的缺点,结合我们客户需求,同时更多的是依赖个人技术经验,发明了一种自动化批处理,人工干预少的技术方案,从而大大提高了客户产品涨缩的效率。核心技术方案是采用EDA工具calibre drc语言,编写涨缩程序,再运行程序,从而达到客户需求。图5是客户数模产品的涨缩批处理流程。

基本原理:整个程序分为涨大(enlarge)和整体缩小(shrink )两个过程。IP涨大以后,会把相关的接触孔的宽度恢复到0.22 μm,然后把涨大后的IP重新整合在原始的版图中,最后把整合好的数据进行整体shrink,从而达到版图等比例缩小的目的。

3.2 批处理程序的结构

根据图5的客户需求原理,我们用calibre语言需要编写了两个程序,一个是enlarge程序,一个是shrink程序,两者程序架构大体相同。程序架构包含以下几个方面。

(1)Specification Statement(规范说明):定义版图数据基本信息和需要的功能选项。

(2)Input Layers Statement(输入层次说明):把版图数据的所有输入层次信息定义出来。

(3)Layer Operations(版图层次运算):根据项目要求,进行所有层次之间的布尔运算。

(4)Output New Layer(输出新的版图层次):把最终完成各种处理的版图数据输出。

通过上面3个语句,就可以把版图Metal1层次的gap修补,以此类推,所有需要修改gap的版图层次都可以按照此语法命令结构来完成。

整体shrink的程序和enlarge的程序结构相同,在shrink程序中可以把客户所有层次之间的布尔运算需求,通过命令语句执行,从而完成客户数据光罩层次的输出。其中的shrink选项,只需要在程序的规范说明里面来定义即可,命令行如下:DRC MAGNIFY RESULTS 0.9,即可完成shrink 90%的功能任务,如果定义DRC MAGNIFY RESULTS 0.85,即可完成shrink 85%的功能需求。

3.4 q缩程序的执行

编写完程序以后,把版图数据等比例缩小的任务就可以按照步骤执行,首先运行enlarge(涨大)程序,然后运行shrink(缩小)程序。步骤如下:

(1)在enlarge程序里面定义要涨大的版图数据的gds;运行enlarge程序:caliberCdrc Chier enlarge程序。

(2)把前两步运行出来的版图数据,放入原始的版图gds中,修补接口连线;在shrink程序里面把第(3)输出的版图数据定义进入;运行shrink程序:calibre Cdrc Chier shrink程序。

(3)通过这几个步骤,我们就可以把版图等比例缩小,同时还维持了模拟IP的原始状态。

(4)程序运行出来的版图,我们就可以直接拿到工艺厂流片。

4 结语

本文详细讲述了如何利用常用的EDA calibre工具语言,批处理集成电路版图等比例缩小的方法。现在集成电路行业发展迅速,工艺水平不断提高,而器件特征尺寸也随着摩尔定律不断缩小,如果一些数模混合产品经过缩小,可以在新的工艺平台流片,有些经过验证的IP希望在原始的工艺尺寸下复用,这些客户需求,都可以通过本文所阐述的技术原理,高效率地实现,极大地节省了设计成本。涨缩程序可以推广于任何后端版图设计中,简单编写一个小的程序,就可以代替人工繁重的全定制版图设计,从而大大提高设计效率。

版图设计毕业论文:“集成电路版图设计”教学探索与实践

摘要:集成电路版图设计起着承接电路设计和芯片实现的重要作用,是集成电路设计流程中必不可少的环节,同时也是应用型集成电路人才的培养方向。对集成电路版图设计理论教学和实验教学过程的实施进行了探索和实践。以CMOS与非门为例,介绍了基于主流EDA工具的完整集成电路版图设计流程的教学实例。

关键词:集成电路设计;版图;EDA

集成电路是当今信息技术产业高速发展的基础和源动力,已经高度渗透与融合到国民经济和社会发展的每个领域,其技术水平和发展规模已成为衡量一个国家产业竞争力和综合国力的重要标志之一[1],美国更将其视为未来20年从根本上改造制造业的四大技术领域之首。我国拥有全球最大、增长最快的集成电路市场,2013年规模达9166亿元,占全球市场份额的50%左右。近年来,国家大力发展集成电路,在上海浦东等地建立了集成电路产业基地,对于集成电路设计、制造、封装、测试等方面的专门技术人才需求巨大。为了适应产业需求,推进我国集成电路发展,许多高校开设了电子科学与技术专业,以培养集成电路方向的专业人才。集成电路版图设计是电路设计与集成电路工艺之间必不可少的环节。据相关统计,在从事集成电路设计工作的电子科学与技术专业的应届毕业生中,由于具有更多的电路知识储备,研究生的从业比例比本科生高出很多。而以集成电路版图为代表包括集成电路测试以及工艺等与集成电路设计相关的工作,相对而言对电路设计知识的要求低很多。因而集成电路版图设计岗位对本科生而言更具竞争力。在版图设计岗位工作若干年知识和经验的积累也将有利于从事集成电路设计工作。因此,版图设计工程师的培养也成为了上海电力学院电子科学与技术专业本科人才培养的重要方向和办学特色。本文根据上海电力学院电子科学与技术专业建设的目标,结合本校人才培养和专业建设目标,就集成电路版图设计理论和实验教学环节进行了探索和实践。

一、优化理论教学方法,丰富教学手段,突出课程特点

集成电路版图作为一门电子科学与技术专业重要的专业课程,教学内容与电子技术(模拟电路和数字电路)、半导体器件、集成电路设计基础等先修课程中的电路理论、器件基础和工艺原理等理论知识紧密联系,同时版图设计具有很强的实践特点。因此,必须从本专业学生的实际特点和整个专业课程布局出发,注重课程与其他课程承前启后,有机融合,摸索出一套实用有效的教学方法。在理论授课过程中从集成电路的设计流程入手,在CMOS集成电路和双极集成电路基本工艺进行概述的基础上,从版图基本单元到电路再到芯片循序渐进地讲授集成电路版图结构、设计原理和方法,做到与上游知识点的融会贯通。

集成电路的规模已发展到片上系统(SOC)阶段,教科书的更新速度远远落后于集成电路技术的发展速度。集成电路工艺线宽达到了纳米量级,对于集成电路版图设计在当前工艺条件下出现的新问题和新规则,通过查阅最新的文献资料,向学生介绍版图设计前沿技术与发展趋势,开拓学生视野,提升学习热情。在课堂教学中尽量减少冗长的公式和繁复的理论推导,将理论讲解和工程实践相结合,通过工程案例使学生了解版图设计是科学、技术和经验的有机结合。比如,在有关天线效应的教学过程中针对一款采用中芯国际(SMIC)0.18um 1p6m工艺的雷达信号处理SOC 芯片,结合跳线法和反偏二极管的天线效应消除方法,详细阐述版图设计中完全修正天线规则违例的关键步骤,极大地激发了学生的学习兴趣,收到了较好的教学效果。

集成电路版图起着承接电路设计和芯片实现的重要作用。通过版图设计,可以将立体的电路转化为二维的平面几何图形,再通过工艺加工转化为基于半导体硅材料的立体结构[2]。集成电路版图设计是集成电路流程中的重要环节,与集成电路工艺密切相关。为了让学生获得直观、准确和清楚的认识,制作了形象生动、图文并茂的多媒体教学课件,将集成电路典型的设计流程、双极和CMOS集成电路工艺流程、芯片内部结构、版图的层次等内容以图片、Flash动画、视频等形式进行展示。

版图包含了集成电路尺寸、各层拓扑定义等器件相关的物理信息数据[3]。掩膜上的图形决定着芯片上器件或连接物理层的尺寸。因此版图上的几何图形尺寸与芯片上物理层的尺寸直接相关。而集成电路制造厂家根据版图数据来制造掩膜,对于同种工艺各个foundry厂商所提供的版图设计规则各不相同[4]。教学实践中注意将先进的典型芯片版图设计实例引入课堂,例如举出台湾积体电路制造公司(TSMC)的45nm CMOS工艺的数模转换器的芯片版图实例,让学生从当今业界实际制造芯片的角度学习和掌握版图设计的规则,同时切实感受到模拟版图和数字版图设计的艺术。

二、利用业界主流EDA工具,构建基于完整版图设计流程的实验体系

集成电路版图设计实验采用了Cadence公司的EDA工具进行版图设计。Cadence的EDA产品涵盖了电子设计的整个流程,包括系统级设计、功能验证、集成电路(IC)综合及布局布线、物理验证、PCB设计和硬件仿真建模模拟、混合信号及射频IC设计、全定制IC设计等。全球知名半导体与电子系统公司如AMD、NEC、三星、飞利浦均将Cadence软件作为其全球设计的标准。将业界主流的EDA设计软件引入实验教学环节,有利于学生毕业后很快适应岗位,尽快进入角色。

专业实验室配备了多台高性能Sun服务器、工作站以及60台供学生实验用的PC机。服务器中安装的Cadence 工具主要包括:Verilog HDL的仿真工具Verilog-X、电路图设计工具Composer、电路模拟工具Analog Artist、版图设计工具Virtuoso Layout Editing、版图验证工具Dracula 和Diva、自动布局布线工具Preview和Silicon Ensemble。

Cadence软件是按照库(Library)、单元(Cell)、和视图(View)的层次实现对文件的管理。库、单元和视图三者之间的关系为库文件是一组单元的集合,包含着各个单元的不同视图。库文件包括技术库和设计库两种,设计库是针对用户设立,不同的用户可以有不同的设计库。而技术库是针对工艺设立,不同特征尺寸的工艺、不同的芯片制造商的技术库不同。为了让学生在掌握主流EDA工具使用的同时对版图设计流程有准确、深入的理解,安排针对无锡上华公司0.6um两层多晶硅两层金属(Double Poly Double Metal)混合信号CMOS工艺的一系列实验让学生掌握包括从电路图的建立、版图建立与编辑、电学规则检查(ERC),设计规则检查(DRC)、到电路图-版图一致性检查(LVS)的完整的版图设计流程[5]。通过完整的基于设计流程的版图实验使学生能较好地掌握电路设计工具Composer、版图设计工具Virtuoso Layout Editor以及版图验证工具Dracula和Diva的使用,同时对版图设计的关键步骤形成清晰的认识。

以下以CMOS与非门为例,介绍基于一个完整的数字版图设计流程的教学实例。

在CMOS与非门的版图设计中,首先要求学生建立设计库和技术库,在技术库中加载CSMC 0.6um的工艺的技术文件,将设计库与技术库进行关联。然后在设计库中用Composer中建立相应的电路原理图(schematic),进行ERC检查。再根据电路原理图用Virtuoso Layout Editor工具绘制对应的版图(layout)。版图绘制步骤依次为MOS晶体管的有源区、多晶硅栅极、MOS管源区和漏区的接触孔、P+注入、N阱、N阱接触、N+注入、衬底接触、金属连线、电源线、地线、输入及输出。基本的版图绘制完成之后,将输入、输出端口以及电源线和地线的名称标注于版图的适当位置处,再在Dracula工具中利用几何设计规则文件进行DRC验证。然后利用GDS版图数据与电路图网表进行版图与原理图一致性检查(LVS),修改其中的错误并按最小面积优化版图,最后版图全部通过检查,设计完成。图1和图2分别给出了CMOS与非门的原理图和版图。

三、结束语

集成电路版图设计教学是电子科学与技术专业和相关电类专业培养应用型集成电路人才的重要环节,使学生巩固了集成电路电路原理、工艺和器件等理论知识,掌握了集成电路版图设计流程、方法和主流的EDA版图工具的使用,提高了学生的工程实践能力,同时培养了学生分析问题、解决问题的能力。随着集成电路飞速发展到纳米工艺,版图相关的新技术和设计规则不断涌现。因此,在今后的教学改革工作中,与时俱进,围绕先进的实际设计案例将课堂教学和设计应用紧密结合,构建集成电路版图设计的教学和实践体系,具有重要的意义。

版图设计毕业论文:汽车电打火控制芯片的输入模块的版图设计与验证

摘 要 本文介绍了一款应用于汽车工程领域的电打火控制芯片的输入模块的版图设计与验证。采用标准双极工艺,全定制的设计对输入模块进行布局布线,并完成了后端验证。本芯片功耗低、成本低,性能稳定。

【关键词】电打火控制芯片 版图设计

1 引言

汽车电打火控制芯片是为使用霍尔效应管的无触点点火系统所设计的一款电打火控制芯片。芯片通过驱动外接的NPN达林顿管来控制点火线圈,使其获得足够的点火能量,只伴随很少的能量损失。本次设计的汽车电打火芯片共包含10个模块:基准电压模块、霍尔效应管输入模块、过压保护模块、占空比控制模块、限流保护电流模块、控制转换模块、缓慢恢复模块、不饱和感应模块、衡通保护模块、驱动电路模块和RPM模块。本文主要讨论了在系统设计和电路设计完成后,对输入模块:基准电压模块和霍尔效应管输入模块电路进行详细分析,并进行仿真,然后完成后端设计以及相关的验证工作。

本文电路中所采用的器件全部是双极型器件,采用5微米标准双极工艺进行版图设计。由于芯片整体电路图比较大,所以将电路图分成若干个模块分别设计,设计前先要将每个模块中的器件的大致位置规划好,在后面的整体版图整理中,再根据工艺线给出的封装结构调整各模块中器件和焊盘的位置。

2 电路分析、仿真结果以及版图设计

2.1 基准电压模块

电路如图1所示,当V3开始给整个电路供电时,随着V3的升高,Q58先导通,从而使Q60导通,Q57、Q58构成达林顿管。Q60的导通,使得镜像电流源Q59-1和Q59-2导通,开始为Q56,Q53,R33组成的能隙基准源供电,并最终在Q38的基极上产生1.25V的基准电压。基准电压产生后,Q61的B极电位为1.9V左右,高于Q60的B极电位(1.4V),所以,Q60截止。R31,Q57,Q58,Q60组成了基准电压部分的启动电路。

基准电压模块中的关键器件:

(1)Q53和Q56的面积比要严格匹配,R33的大小影响基准电压值。

(2)R27、R28匹配。

基准电压模块的版图设计:根据隔离区划分标准,集电极电位相同晶体管可以放在同一个隔离区内,将基准电压模块电路图划分成10个隔离区。然后在各个隔离区内设计器件。

2.1.1 晶体管的设计

设计芯片一般情况下先调整晶体管的尺寸。虽然将晶体管的尺寸调到最小,会增大串联器件的电阻和增大时间常数,但是可以减小芯片面积,权衡利弊,缩小器件尺寸所Ю吹暮么σ远远大于它所带来的坏处。设计晶体管版图,首先要设计出一个最小尺寸的晶体管,这个最小尺寸的晶体管是根据本工艺线的工艺水平定出来的,本设计中最小尺寸晶体管的发射极面积是14×14μm2,然后在这个基础上考虑图形最小间距,逐步套合成一个最小尺寸的晶体管,也就是单位管。

根据对电路的分析、仿真,计算出电路图中所有晶体管发射区的尺寸,以单位管为标准,将计算出的发射区面积都表示为单位管的N倍。然后根据单位管的尺寸和本条工艺线所给出的最小设计规则,设计出各个晶体管的版图。

2.1.2 电阻的设计

根据不同类型的电阻具有不同的方块电阻,将大阻值的电阻设计为注入电阻,小阻值的电阻设计为扩散电阻,更大阻值的电阻设计为夹层电阻。

根据电阻公式:

可以根据工艺线的端头修正因子和版图中的布局情况电阻的形状和拐角个数。模块中共有15个电阻,经过计算分析,其中有一个是基区扩散电阻,其余的是注入电阻,方块电阻数全部标注在电路图中。

2.1.3 电容的设计

模块中只有一个电容,电容值为2pF,根据式(2)可以算出电容面积为:

算出电容的面积后,将这个电容做成梳状电容,使P区和N区的重迭面积为5000 就可以满足电容值为2pF的要求。

将电路图中的每个器件设计好之后,对比电路图,符合低风险合并规则的器件可以合并以减少面积。然后再参考电路图进行布线。基准电压模块版图设计如图2所示。

2.2 霍尔效应管输入模块

电路如图3所示,Q108的基极为其输出信号。霍尔效应管产生的信号从PIN5进入(V1),直接传给Q113的B极,Q113的B极与Q119的B极电位进行比较。当霍尔效应管产生的信号为高时,Q113极的B极电位为高,高于Q119的B极电位,所以,Q119导通,Q113截止。Q119的导通,使得Q111的B极电位为高,导通,从而将Q120-2的电流全部拉走,使得没有电流从Q108、Q112和R75中流过,此时模块的输出(Q108的基极)为低,约为0V。反之,上述各管子工作状态相反,模块输出为高,约为0.7V。Q114、Q115和D4组成了一个过压保护,使从霍尔效应管输入的信号不会过高,将其上限限定为(Vcc+2.1)V。霍尔效应管输入电路模块版图设计如图4所示。

3 版图验证

完成版图设计的电路性能由于诸多物理因素的介入,与逻辑设计、电路设计的结果相比,会有一定的变化,因此必须对版图进行验证,主要包括几何设计规则检查(DRC),电学规则检查(ERC),网表一致性检查(LVS),电路功能和性能验证(后仿真)等部分。这些检查工作能为电路的版图设计的正确性提供依据。本设计对绘制完的版图进行了DRC和LVS验证。

4 结论

这款电打火控制芯片的设计成功,填补了国内类似芯片的设计空白,更重要的是这款芯片的所有元器件几乎都采用最小设计尺寸,使芯片面积、功耗最小,从而提高了芯片的利用率,节省了设计成本。

版图设计毕业论文:JFET输入双运算放大器集成电路芯片的版图设计

摘要:介绍了基于4μm双极对通隔离兼容JFET工艺的双运算放大器集成电路芯片的版图设计。版图设计的主出发点是高精度、高速和高可靠性三方面。版图中各模块采用对称设计,关键元件的匹配采用了共质心对称设计。芯片测试结果表明,JFET输入双运算放大器的输入偏置电流和失调电流均达到了200pA以下,电路的转换速率达到了10V/μs,增益带宽积4.5MHz,很好的实现了预定电路功能。芯片成品率达90%。

关键词:JFET;运算放大器;版图设计;可靠性

0 引言

该JFET输入运算放大器主要用在高速积分器、快速D/A转换器、采样-保持等电路中,其关键技术指标是高精度、高速和高可靠。作为集成电路设计流程中最重要的一个环节,芯片版图的设计将是提高电路精度、成品率和可靠性的关键因素。

1 芯片功能及原理图

本文设计的JFET输入双运算放大器输入偏置电流最大200pA,失调电流最大50pA,失调电压最大2mV,共模抑制比最小85dB,电源抑制比最小85dB,电压增益最小90dB,转换速率最小10V/μs,增益带宽积最小4.5MHz。电路由失调调零电路、输入ESD保护电路、偏置电路、差分输入电路、电压放大电路、输出扩流电路、保护电路组成。电路原理图如图1所示。

2 芯片版图设计

2.1 芯片版图的平面设计

本文设计的JFET输入双运算放大器最大的热源就是输出扩流电路,为了保证电路精度,降低温度对输入部分的影响,应该将差分输入电路远离输出扩流电路;保护电路需要测量输出管的电流和结温(主要是电流),因此需把它放在贴近输出扩流电路的位置;电路失调调零电路考虑到电路中测应放在芯片边缘;偏置电路采用正温度系数的扩散电阻和负温度系数的齐纳二极管串联,基本消除了温度的影响,可以放在输出扩流电路边上,同时降低了温度对差分输入电路的影响。

考虑到电路的高可靠性能,在电路的输入、输出、电源端均加上ESD保护电路,提高电路抗静电等级。

综上所述,结合具体布线情况,得出了芯片版图的整体布局,如图2所示。

2.2 主要模块及元器件版图设计

本设计采用4μm双极对通隔离兼容JFET工艺,单层金属布线,共15次光刻版,全部采用负胶接触光刻。最小特征尺寸为4μm,外延层厚度12μm,电阻率3Ω・cm,基区结深2.5~3.0μm。

2.2.1 标准元器件版图设计

本设计中用到的标准元件主要有P沟道JFET、外延型JFET,小功率npn晶体管、横向pnp管、电阻、电容。P沟道JFET沟道长度设计为10μm。外延型JFET沟道宽度设计为32μm。小功率npn晶体管发射区下限尺寸主要受光刻精度的限制,小于4mA的npn晶体管发射区为φ22μm圆形,发射极电流按0.1mA/μm计算【1】;4~25mA的npn晶体管发射区设计为200μm×18μm的矩形。纵向pnp晶体管发射区设计为350μm×30μm的矩形,同时在发射区做重掺杂,提高纵向pnp管的大电流增益。横向pnp管基区宽度设计为14μm。

另外,设计时还采用了发射极铝层大面积覆盖(过EB结势垒区),以减少表面复合,提高npn管和横向pnp管的小电流放大倍数【1】。

本设计中采用的电阻主要有基区电阻和高硼注入电阻。对于精度要求高、匹配性好的电阻采用基区电阻,如差分输入端要求精确匹配的电阻。为了保证电阻的精度和好的匹配性,设计时尽量避免弯头的出现。其余要求不高且阻值较大的电阻采用高B注入电阻,为了形成可靠的欧姆接触,在接触孔下的扩散区做了重掺杂。

电容器的设计采用MIS电容器,考虑电路对转换速率的要求,电容面积按2pF/10000μm2计算。

2.2.2 差分输入电路的版图设计

差分输入电路的精度是影响JFET输入运算放大器的最主要因素。因此,在版图设计时除了合适的布局外,还要充分考虑到该部分电路所用元器件的匹配性,设计时主要采用以下匹配原则:(1)JFET采用统一的几何形状,放置在最相邻的位置,采用共质心拓扑结构交叉耦合的版图设计【2】;(2)JFET所属隔离岛实行N+重掺杂,保证隔离岛等电位,减小JFET表面漏电;(3)npn晶体管发射区采用φ22μm圆形结构,放置在JFET边上,采用交叉耦合的版图设计,减小输入级有源负载失配对失调的影响;(4)匹配好的JFET远离芯片热源,放置在芯片的对称轴上;(5)所用电阻均为基区电阻,条宽为20μm。采用上述原则设计出如下结构:

经布局规划,模块实现和版图优化,得到芯片的整体版图(图4),芯片版图尺寸为:3380μm×1860μm。

3 流片结果及分析

芯片版图经总体布局、布线设计完成后,对版图进行了DRC和LVS检查,并在流片厂双极对通隔离兼容JFET工艺线成功流片,芯片图形如图5所示。

表1是该运算放大器样品的上机测试参数与国外同型号产品对比结果。从表1可以看出,该运算放大器达到了国外同型号产品的参数要求(实测时TI公司同类产品IB为100pA左右,Linear Technology公司同类产品IB为150pA左右),可以替代进口的同型产品。

4 结语

为了实现高精度、高速、高可靠运算放大器,本文设计出了一种输入级完全对称的版图结构。芯片版图经总体布局、布线设计完成,并在流片厂成功流片。结果表明,该芯片的性能指标优于国内同型产品,版图设计很好地实现了电路功能,初测芯片的成品率达90%。

版图设计毕业论文:面向企业的IC版图设计教学探讨

摘要:本文在分析国内集成电路(IC)设计企业对版图设计要求的基础上,调查了已从事版图设计的一些学生在校期间所学的版图知识与企业要求的差异,针对版图教学存在的一些教学与企业对版图设计具体要求的不同,深入探讨IC版图教学内容和实现方法,规划版图教学与实践的紧密结合。强调版图教学与目前主流工艺、常用电路以及设计软件同步并重。

关键词:版图设计;集成电路;教学与实践

目前,集成电路设计公司在招聘新版图设计员工时,都希望找到已经具备一定工作经验的,并且熟悉本行业规范的设计师。但是,IC设计这个行业圈并不大,招聘人才难觅,不得不从其他同行业挖人才或通过猎头公司。企业不得不付出很高的薪资,设计师才会考虑跳槽,于是一些企业将招聘新员工目标转向了应届毕业生或在校生,以提供较低薪酬聘用员工或实习方式来培养适合本公司的版图师。一些具备版图设计知识的即将毕业学生就进入了IC设计行业。但是,企业通常在招聘时或是毕业生进入企业一段时间后发现,即使是懂点版图知识的新员工,电路和工艺的知识差强人意,再就是行业术语与设计软件使用不够熟练、甚至不懂。这就要求我们在版图教学时渗入电路与工艺等知识,使学生明确其中紧密关联关系,树立电路、工艺以及设计软件为版图设计服务的理念。

一、企业对IC版图设计的要求分析

集成电路设计公司在招聘版图设计员工时,除了对员工的个人素质和英语的应用能力等要求之外,大部分是考查专业应用的能力。一般都会对新员工做以下要求:熟悉半导体器件物理、CMOS或BiCMOS、BCD集成电路制造工艺;熟悉集成电路(数字、模拟)设计,了解电路原理,设计关键点;熟悉Foundry厂提供的工艺参数、设计规则;掌握主流版图设计和版图验证相关EDA工具;完成手工版图设计和工艺验证[1,2]。另外,公司希望合格的版图设计人员除了懂得IC设计、版图设计方面的专业知识,还要熟悉Foundry厂的工作流程、制程原理等相关知识[3]。正因为其需要掌握的知识面广,而国内学校开设这方面专业比较晚,IC版图设计工程师的人才缺口更为巨大,所以拥有一定工作经验的设计工程师,就成为各设计公司和猎头公司争相角逐的人才[4,5]。

二、针对企业要求的版图设计教学规划

1.数字版图设计。数字集成电路版图设计是由自动布局布线工具结合版图验证工具实现的。自动布局布线工具加载准备好的由verilog程序经过DC综合后的网表文件与Foundry提供的数字逻辑标准单元版图库文件和I/O的库文件,它包括物理库、时序库、时序约束文件。在数字版图设计时,一是熟练使用自动布局布线工具如Encounter、Astro等,鉴于很少有学校开设这门课程,可以推荐学生自学或是参加专业培训。二是数字逻辑标准单元版图库的设计,可以由Foundry厂提供,也可由公司自定制标准单元版图库,因此对于初学者而言设计好标准单元版图使其符合行业规范至关重要。

2.模拟版图设计。在模拟集成电路设计中,无论是CMOS还是双极型电路,主要目标并不是芯片的尺寸,而是优化电路的性能,匹配精度、速度和各种功能方面的问题。作为版图设计者,更关心的是电路的性能,了解电压和电流以及它们之间的相互关系,应当知道为什么差分对需要匹配,应当知道有关信号流、降低寄生参数、电流密度、器件方位、布线等需要考虑的问题。模拟版图是在注重电路性能的基础上去优化尺寸的,面积在某种程度上说仍然是一个问题,但不再是压倒一切的问题。在模拟电路版图设计中,性能比尺寸更重要。另外,模拟集成电路版图设计师作为前端电路设计师的助手,经常需要与前端工程师交流,看是否需要版图匹配、布线是否合理、导线是否有大电流流过等,这就要求版图设计师不仅懂工艺而且能看懂模拟电路。

3.逆向版图设计。集成电路逆向设计其实就是芯片反向设计。它是通过对芯片内部电路的提取与分析、整理,实现对芯片技术原理、设计思路、工艺制造、结构机制等方面的深入洞悉。因此,对工艺了解的要求更高。反向设计流程包括电路提取、电路整理、分析仿真验证、电路调整、版图提取整理、版图绘制验证及后仿真等。设计公司对反向版图设计的要求较高,版图设计工作还涵盖了电路提取与整理,这就要求版图设计师不仅要深入了解工艺流程;而且还要熟悉模拟电路和数字标准单元电路工作原理。

三、教学实现

1.数字版图。数字集成电路版图在教学时,一是掌握自动布局布线工具的使用,还需要对UNIX或LINUX系统熟悉,尤其是一些常用的基本指令;二是数字逻辑单元版图的设计,目前数字集成电路设计大都采用CMOS工艺,因此,必须深入学习CMOS工艺流程。在教学时,可以做个形象的PPT,空间立体感要强,使学生更容易理解CMOS工艺的层次、空间感。逻辑单元版图具体教学方法应当采用上机操作并配备投影仪,教师一边讲解电路和绘制版图,一边讲解软件的操作、设计规则、画版图步骤、注意事项,学生跟着一步一步紧随教师演示学习如何画版图,同时教师可适当调整教学速度,适时停下来检查学生的学习情况,若有错加以纠正。这样,教师一个单元版图讲解完毕,学生亦完成一个单元版图。亦步亦趋、步步跟随,学生的注意力更容易集中,掌握速度更快。课堂讲解完成后,安排学生实验以巩固所学。逻辑单元版图教学内容安排应当采用目前常用的单元,并具有代表性、扩展性,使学生可以举一反三,扩展到整个单元库。具体单元内容安排如反相器、与非门/或非门、选择器、异或门/同或门、D触发器与SRAM等。在教授时一定要注意符合行业规范,比如单元的高度、宽度的确定要符合自动布局布线的要求;单元版图一定要最小化,如异或门与触发器等常使用传输门实现,绘制版图时注意晶体管源漏区的合并;大尺寸晶体管的串并联安排合理等。

2.模拟版图。模拟集成电路版图设计更注重电路的性能实现,经常需要与前端电路设计工程师交流。因此,版图教学时教师须要求学生掌握模拟集成电路的基本原理,学生能识CMOS模拟电路,与前端电路工程师交流无障碍。同时也要求学生掌握工艺对模拟版图的影响,熟练运用模拟版图的晶体管匹配、保护环、Dummy晶体管等关键技术。在教学方法上,依然采用数字集成电路版图的教学过程,实现教与学的同步。在内容安排上,一是以运算放大器为例,深入讲解差分对管、电流镜、电容的匹配机理,版图匹配时结构采用一维还是二维,具体是如何布局的,以及保护环与dummy管版图绘制技术。二是以带隙基准电压源为例,深入讲解N阱CMOS工艺下双极晶体管PNP与电阻匹配的版图绘制技术。在教学时需注意晶体管与电阻并联拆分的合理性、电阻与电容的类型与计算方法以及布线的规范性。

3.逆向版图设计。逆向集成电路版图设计需要学生掌握数字标准单元的命名规范、所有标准单元电路结构、常用模拟电路的结构以及芯片的工艺,要求学生熟悉模拟和数字集成单元电路。这样才可以在逆向提取电路与版图时,做到准确无误。教学方法同样还是采用数字集成电路版图教学流程,达到学以致用。教学内容当以一个既含数字电路又含模拟电路的芯片为例。为了提取数字单元电路,需讲解foundry提供的标准单元库里的单元电路与命名规范。在提取单元电路教学时,说明数字电路需要归并同类图形,例如与非门、或非门、触发器等,同样的图形不要分析多次。强调学生注意电路的共性、版图布局与布线的规律性,做到熟能生巧。模拟电路的提取与版图绘制教学要求学生掌握模拟集成电路常用电路结构与工作原理,因为逆向设计软件提出的元器件符号应该按照易于理解的电路整理,使其他人员也能看出你提取电路的功能,做到准确通用规范性。

集成电路版图设计教学应面向企业,按照企业对设计工程师的要求来安排教学,做到教学与实践的紧密结合。从教学开始就向学生灌输IC行业知识,定位准确,学生明确自己应该掌握哪些相关知识。本文从集成电路数字版图、模拟版图和逆向设计版图这三个方面就如何开展教学可以满足企业对版图工程师的要求展开探讨,安排教学有针对性。在教学方法与内容上做了分析探讨,力求让学生在毕业后可以顺利进入IC行业做出努力。

作者简介:李亮(1979-),男,内蒙察右前旗人,讲师,就职于苏州市职业大学电子信息工程学院,研究方向:集成电路设计与教学研究。

版图设计毕业论文:做好凹版图文设计 提高印品质量

在凹版印前制作中,需要对客户设计好的图文进行适当地修改,使其尽可能满足凹印的要求。其中,线条、文字和色彩等都是重要的考查对象,只有设计和控制合理,才能达到理想的印刷效果。下面,笔者将谈一谈我公司凹版图文设计的要点,供同行参考。

文字和线条设计

文字和线条的设计一般应注意以下3个方面。

(1)由于较细小的文字和线条在印刷时不宜采用多色套印,所以,不能在套色图案上设计更加细小的反白字,且不宜在套色图案上留白后,再套印其他细小的文字,否则会增加套印难度。

(2)文字字号不应小于5号字,字高不得小于2mm;线条线宽不得小于0.1mm,但如果采用金属油墨印刷,考虑到金属油墨颗粒太大,在印刷时较难呈现理想的效果,所以其线条线宽就要大于0.1mm;细小的线条、文字等在设计时需要加上宽度为0.02mm的实边,加上实边后这些文字和线条本身的宽度应保持不变。

(3)文字与底图之间的压边在印刷时往往容易出现变色问题,如果客户不能接受这种问题,在图文设计时就要在文字边缘加白边,且白边宽度不得小于0.3mm。

色彩控制

(1)对人像等套印精度要求较严的图像,在图文设计时黄品青各色之间尽量不要加入其他色彩。

(2)印品上条形码的色彩多以黑色、深蓝色为主,不宜采用金属油墨或浅色油墨印刷。另外,条形码的大小应符合国家要求,为保证条形码印刷的完整性及清晰度,条形码的线条方向应尽量与版辊圆周方向一致。

(3)在油墨色彩能够达到客户要求的前提下,设计图文时尽量不使用叠色,例如,如果直接采用黑色挂网能够满足色彩要求的话,就不要选择由黄品青叠加而得到的黑色;过渡网能通过改变加网线数来达到色彩渐变效果的话,就不需要采用多色叠加去完成。

其他方面

加网时必须充分考虑80%和5%这两个色彩跳跃区,渐变挂网时最小网点极限应在10%以上,可印刷的最小网点面积率为15%~25%,尽量不要做大面积挂浅网,以免大批量印刷时造成网点丢失。另外,透明油墨和金属油墨必须要采用专色版,大面积实地底色最好要与网目调图案分开制版,且尽量采用专色版。