首页 期刊 科技广场 VHDL编程彩灯控制电路 【正文】

VHDL编程彩灯控制电路

作者:唐燕影 江西机电职业技术学院 江西南昌330001
vhdl   彩灯   仿真   控制  

摘要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

学术咨询 免费咨询 杂志订阅